EPiQC Publications

2023

G. S. Ravi, J. M. Baker, K. N. Smith, N. Earnest, A. Javadi-Abhari and F. T. Chong, "Quancorde: Boosting fidelity with Quantum Canary Ordered Diverse Ensembles," 2022 IEEE International Conference on Rebooting Computing (ICRC), San Francisco, CA, USA, 2022, pp. 66-77, doi: 10.1109/ICRC57508.2022.00014.

Andrew Litteken, Lennart Maximilian Seifert, Jason Chadwick, Natalia Nottingham, Frederic T. Chong, Jonathan M. Baker: Qompress: Efficient Compilation for Ququarts Exploiting Partial and Mixed Radix Operations for Communication Reduction. ASPLOS (2) 2023: 646-659 DOI 10.1145/3575693.3575726

Gokul Subramanian Ravi, Pranav Gokhale, Yi Ding, William Kirby, Kaitlin Smith, Jonathan M. Baker, Peter J. Love, Henry Hoffmann, Kenneth R. Brown, and Frederic T. Chong. 2022. CAFQA: A Classical Simulation Bootstrap for Variational Quantum Algorithms. In Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 1 (ASPLOS 2023). Association for Computing Machinery, New York, NY, USA, 15–29. DOI 10.1145/3567955.3567958

Gokul Subramanian Ravi, Jonathan M. Baker, Arash Fayyazi, Sophia Fuhui Lin, Ali Javadi-Abhari, Massoud Pedram, and Frederic T. Chong. 2023. Better Than Worst-Case Decoding for Quantum Error Correction. In Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2 (ASPLOS 2023). Association for Computing Machinery, New York, NY, USA, 88–102. DOI 10.1145/3575693.3575733

Gokul Subramanian Ravi, Kaitlin Smith, Jonathan M. Baker, Tejas Kannan, Nathan Earnest, Ali Javadi-Abhari, Henry Hoffmann, and Frederic T. Chong. 2023. Navigating the Dynamic Noise Landscape of Variational Quantum Algorithms with QISMET. In Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2 (ASPLOS 2023). Association for Computing Machinery, New York, NY, USA, 515–529. https://doi.org/10.1145/3575693.3575739

Ramin Ayanzadeh, Narges Alavisamani, Poulami Das, and Moinuddin Qureshi. 2023. FrozenQubits: Boosting Fidelity of QAOA by Skipping Hotspot Nodes. In Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2 (ASPLOS 2023). Association for Computing Machinery, New York, NY, USA, 311–324. DOI 10.1145/3575693.3575741

Liu, Jonathan; Franklin, Diana. Introduction to Quantum Computing for Everyone: Experience Report. In Proceedings of the 54th ACM Technical Symposium on Computer Science Education V. 1 (SIGCSE 2023). 1157–1163. 2023. DOI 10.1145/3545945.3569836

2022

J. Viszlai, T. Tomesh, P. Gokhale, E. Anschuetz and F. T. Chong, "Training Quantum Boltzmann Machines with Coresets," 2022 IEEE International Conference on Quantum Computing and Engineering (QCE), Broomfield, CO, USA, 2022, pp. 292-298, doi: 10.1109/QCE53715.2022.00049.

Hanrui Wang, Jiaqi Gu, Yongshan Ding, Zirui Li, Frederic T. Chong, David Z. Pan, and Song Han. 2022. QuantumNAT: quantum noise-aware training with noise injection, quantization and normalization. In Proceedings of the 59th ACM/IEEE Design Automation Conference (DAC '22). Association for Computing Machinery, New York, NY, USA, 1–6. https://doi.org/10.1145/3489517.3530400

Lin, Sophia Fuhui; Sussman, Sara; Duckering, Casey; Mundada Pranav S.; Baker Jonathan M.; Kumar, Rohan S.; Houck, Andrew A.; Chong, Frederic T. "Let Each Quantum Bit Choose Its Basis Gates," in 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), Chicago, IL, USA, 2022 pp. 1042-1058. DOI 10.1109/MICRO56248.2022.00075

Runzhou Tao, Yunong Shi, Jianan Yao, Xupeng Li, Ali Javadi-Abhari, Andrew W. Cross, Frederic T. Chong, Ronghui Gu: Giallar: push-button verification for the qiskit Quantum compiler. PLDI 2022: 641-656 DOI 10.1145/3519939.3523431

Smith, Kaitlin N ; Ravi, Gokul Subramanian ; Baker, Jonathan M ; Chong, Frederic T, Scaling superconducting quantum computers with chiplet architectures, in 2022 55th IEEE ACM International Symposium on Microarchitecture (MICRO), 2022. DOI 10.1109/MICRO56248.2022.00078

T Propson; BE Jackson; J Koch; Z Manchester; DI Schuster, Robust Quantum Optimal Control with Trajectory Optimization, Physical Review Applied 17 (1), 014036 (2022) DOI 10.1103/PhysRevApplied.17.01403

G. De Micheli, J. -H. R. Jiang, R. Rand, K. Smith and M. Soeken, "Advances in Quantum Computation and Quantum Technologies: A Design Automation Perspective," in IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 12, no. 3, pp. 584-601, Sept. 2022, doi: 10.1109/JETCAS.2022.3205174.

Fang, Chao; Wang, Ye; Huang, Shilin; Brown, Kenneth R.; Kim, Jungsang Crosstalk Suppression in Individually Addressed Two-Qubit Gates in a Trapped-Ion Quantum Computer Phys. Rev. Lett. 129, 240504 (2022) DOI 10.1103/PhysRevLett.129.240504

Voichick, Finn; Li, Liyi; Rand, Robert; Hicks, Michael. Qunity: A Unified Language for Quantum and Classical Computing. Proceedings of the ACM on Programming Languages, 7, POPL, Article 32 (January 2023), 31 pages. 2023 DOI 10.1145/3571225

A. S. Dalvi, F. Mazurek, L. Riesebos, J. Whitlow, S. Majumder and K. R. Brown, "Modular Architecture for Classical Simulation of Quantum Circuits," 2022 IEEE International Conference on Quantum Computing and Engineering (QCE), Broomfield, CO, USA, 2022, pp. 810-812, doi: 10.1109/QCE53715.2022.00127.

Seifert, Lennart Maximilian; Chadwick, Jason; Litteken, Andrew; Chong, Frederic T; Baker, Jonathan M, "Time-Efficient Qudit Gates through Incremental Pulse Re-seeding," 2022 IEEE International Conference on Quantum Computing and Engineering (QCE), Broomfield, CO, USA, 2022 DOI 10.1109/QCE53715.2022.00051

Riesebos, Leon; Bondurant, Brad; Whitlow, Jacob; Kim, Junki; Kuzyk, Mark; Chen, Tianyi; Phiri, Samuel; Wang, Ye; Fang, Chao; Horn, Andrew Van; Kim, Jungsang; Brown, Kenneth R., Modular software for real-time quantum control systems, 2022 IEEE International Conference on Quantum Computing and Engineering (QCE), 545-555, 2022 DOI 10.1109/QCE53715.2022.00077

L. Riesebos, K. R. Brown, Functional simulation of real-time quantum control software, 2022 IEEE International Conference on Quantum Computing and Engineering (QCE), 535-544, 2022 DOI 10.1109/QCE53715.2022.00076

Hanrui Wang, Zhiding Liang, Jiaqi Gu, Zirui Li, Yongshan Ding, Weiwen Jiang, Yiyu Shi, David Z. Pan, Frederic T. Chong, and Song Han. 2022. TorchQuantum Case Study for Robust Quantum Circuits. In Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design (ICCAD '22). Association for Computing Machinery, New York, NY, USA, Article 136, 1–9. DOI 10.1145/3508352.3561118

Wang, H.; Ding, Y.; Gu, J.; Lin, Y.; Pan, D. Z.; Chong, F. T.; Han, S. (2022, April). Quantumnas: Noise-adaptive search for robust quantum circuits. In 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA) (pp. 692-708). IEEE. DOI 10.1109/HPCA53966.2022.00057

Jokar, Mohammad Reza; Rines, Richard; Pasandi, Ghasem; Cong, Haolin; Holmes, Adam; Shi, Yunong; Pedram, Massoud; Chong, Frederic T., "DigiQ: A Scalable Digital Controller for Quantum Computers Using SFQ Logic," 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA), pp. 400-414, 2022 DOI 10.1109/HPCA53966.2022.00037

Teague Tomesh, Pranav Gokhale, Victory Omole, Gokul Subramanian Ravi, Kaitlin Smith, Joshua Viszlai, Xin-Chuan Wu, Nikos Hardavellas, Margaret R. Martonosi, and Frederic T. Chong. SupermarQ: A Scalable Quantum Benchmark Suite. IEEE International Symposium on High-Performance Computer Architecture, 2022 DOI 10.1109/HPCA53966.2022.00050

Zheng, Tian-Xing; Li, Anran; Rosen, Jude; Zhou, Sisi; Koppenhöfer, Martin; Ma, Ziqi; Chong, Frederic T; Clerk, Aashish A; Jiang, Liang; Maurer, Peter C. Preparation of metrological states in dipolar-interacting spin systems. npj Quantum Information 8, 150 (2022) DOI 10.1038/s41534-022-00667-4

Gokul Subramanian Ravi, Kaitlin N. Smith, Pranav Gokhale, Andrea Mari, Nathan Earnest, Ali Javadi-Abhari, Frederic T. Chong: VAQEM: A Variational Approach to Quantum Error Mitigation. HPCA 2022: 288-303 DOI 10.1109/HPCA53966.2022.00029

Anshu, A., Harrow, A.W. & Soleimanifar, M. Entanglement spread area law in gapped ground states. Nat. Phys. (2022). DOI 10.1038/s41567-022-01740-7

Bichen Zhang, Swarnadeep Majumder, Pak Hong Leung, Stephen Crain, Ye Wang, Chao Fang, Dripto M. Debroy, Jungsang Kim, and Kenneth R. Brown, Hidden Inverses: Coherent Error Cancellation at the Circuit Level Phys. Rev. Applied 17, 034074 – Published 30 March 2022 DOI https://doi.org/10.1103/PhysRevApplied.17.034074

Prakash Murali, Dripto M. Debroy, Kenneth R. Brown, and Margaret Martonosi. 2022. “Toward systematic architectural design of near-term trapped ion quantum computers”. Commun. ACM 65, 3 (March 2022), 101–109. DOI 10.1145/3511064

2021

X. -C. Wu, M. G. Davis, F. T. Chong and C. Iancu, "Reoptimization of Quantum Circuits via Hierarchical Synthesis," 2021 International Conference on Rebooting Computing (ICRC), 2021, pp. 35-46, doi: 10.1109/ICRC53822.2021.00016.

Teague Tomesh, Kaiwen Gui, Pranav Gokhale, Yunong Shi, Martin Suchara, Margaret Martonosi and Frederic T. Chong, “Optimized Quantum Program Execution Ordering to Mitigate Errors in Simulations of Quantum Systems,” (IEEE International Conference on Rebooting Computing, ICRC 2021) doi: 10.1109/ICRC53822.2021.00013

Huang, Shilin and Brown, Kenneth R, Between Shor and Steane: A unifying construction for measuring error syndromes, Phys. Rev. Lett. 127, 090505, 2021 doi 10.1103/PhysRevLett.127.090505

Duckering, Casey; Baker, Jonathan M.; Litteken, Andrew; and Chong, Frederic T. . 2021. Orchestrated trios: compiling for efficient communication in Quantum programs with 3-Qubit gates. In Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2021). Association for Computing Machinery, New York, NY, USA, 375–385. DOI:https://doi.org/10.1145/3445814.3446718 video

X. -C. Wu et al., "TILT: Achieving Higher Fidelity on a Trapped-Ion Linear-Tape Quantum Computing Architecture," 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2021, pp. 153-166, doi: 10.1109/HPCA51647.2021.00023.

Tang, Wei; Tomesh, Teague; Suchara, Martin; Larson, Jeffrey; Martonosi, Margaret, "CutQC: using small quantum computers for large quantum circuit evaluations." In Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 473-486. 2021, doi: 10.1145/3445814.3446758

Bene Watts, A.; Yunger Halpern, N.; Harrow, A., Nonlinear Bell inequality for macroscopic measurements, Phys. Rev. A, 103, 010202 (2021) 10.1103/PhysRevA.103.L010202

Crosson, E. and Harrow, A.W., Rapid mixing of path integral Monte Carlo for 1D stoquastic Hamiltonians, Quantum 5, 395 (2021) 10.22331/q-2021-02-11-395

Ananth, Prabhanjan and La Placa, Rolando L. Secure Software Leasing, Advances in Cryptology‚EUROCRYPT 2021 Springer International Publishing 10.1007/978-3-030-77886-6_17

Rossi, Zane M. and Chuang, Isaac L. "Quantum hypothesis testing with group structure",Phys. Rev. A 104, 012425 – Published 27 July 2021 DOI 10.1103/PhysRevA.104.012425

Jokar, Mohammad Reza; Rines, Richard; Chong, Fred. "Practical implications of SFQ-based two-qubit gates." 2021 IEEE International Conference on Quantum Computing and Engineering (QCE). IEEE, 2021. doi 10.1109/QCE52317.2021.00061 (QCE21 Best Paper Award)

Marckwordt, Jasmine; Muller, Alexandria; Harlow, Danielle; Franklin, Diana; and Landsberg, Randall, Entanglement Ball: Using Dodgeball to Introduce Quantum Entanglement, The Physics Teacher, 59, 8, 613-616, 2021. https://doi.org/10.1119/5.0019871

Gokhale, P., Koretsky, S., Huang, S., Majumder, S., Drucker, A., Brown, K.R., & Chong, F.T. Quantum Fan-out: Circuit Optimizations and Technology Modeling. IEEE QCE Quantum Week 2021. doi 10.1109/QCE52317.2021.00045

Samantha Koretsky, Pranav Gokhale, Jonathan M. Baker, Joshua Viszlai, Honghao Zheng, Niroj Gurung, Ryan Burg, Esa Aleksi Paaso, Amin Khodaei, Rozhin Eskandarpour, Frederic T. Chong “Adapting Quantum Approximation Optimization Algorithm (QAOA) for Unit Commitment,” IEEE QCE 2021 doi: 10.1109/QCE52317.2021.00035

Ma, Ziqi; Gokhale, Pranav; Zheng, Tian-Xing; Zhou, Sisi; Yu, Xiaofei; Jiang, Liang; Maurer, Peter; Chong, Fred Adaptive circuit learning for quantum metrology, IEEE QCE 2021 doi: 10.1109/QCE52317.2021.00063

Ravi, Gokul Subramanian; Smith, Kaitlin; Gokhale, Pranav; Chong, Frederic. Quantum Computing in the Cloud: Analyzing job and machine characteristics. IEEE International Symposium on Workload Characterization (IISWC) 2021DOI: 10.1109/IISWC53511.2021.00015

Ravi, Gokul Subramanian; Smith, Kaitlin, Murali, Prakash; Chong, Frederic. Adaptive job and resource management for the growing quantum cloud. IEEE International Conference on Quantum Computing and Engineering (QCE). 2021 DOI: 10.1109/QCE52317.2021.00047

L. Riesebos, B. Bondurant and K. R. Brown, "Universal Graph-Based Scheduling for Quantum Systems," in IEEE Micro, vol. 41, no. 5, pp. 57-65, 1 Sept.-Oct. 2021, doi: 10.1109/MM.2021.3094968.

Tomesh, Teague; Gokhale, Pranav; Anschuetz, Eric R.; Chong, Frederic T., Coreset Clustering on Small Quantum Computers, Electronics 10, no. 14: 1690. 2021. doi 10.3390/electronics10141690

M. Kang, Q. Liang, B. Zhang, S. Huang, Y. Wang, C. Fang, J. Kim and K.R. Brown, Batch Optimization of Frequency-Modulated Pulse for Robust Two-qubit Gates in Ion Chains, Phys. Rev. Applied 16, 024039 (2021) DOI https://doi.org/10.1103/PhysRevApplied.16.024039

Srivatsan Chakram, Andrew E. Oriani, Ravi K. Naik, Akash V. Dixit, Kevin He, Ankur Agrawal, Hyeokshin Kwon, and David I. Schuster; Seamless High-Q Microwave Cavities for Multimode Circuit Quantum Electrodynamics, Phys. Rev. Lett. 127, 107701 doi 10.1103/PhysRevLett.127.107701

J. M. Baker and F. T. Chong, "Emerging Technologies for Quantum Computing," in IEEE Micro, vol. 41, no. 5, pp. 41-47, 1 Sept.-Oct. 2021, doi: 10.1109/MM.2021.3099139.

Joseph X. Lin, Eric R. Anschuetz, and Aram W. Harrow. 2021. Using Spectral Graph Theory to Map Qubits onto Connectivity-limited Devices. ACM Transactions on Quantum Computing 2, 1, Article 3 (April 2021), pp 1-30 doi 10.1145/3436752

Jonathan M. Baker, Andrew Litteken, Casey Duckering, Hank Hoffmann, Hannes Bernien, and Fred Chong. Exploiting Long-Distance Interactions and Tolerating Atom Loss in Neutral Atom Quantum Architectures. ISCA 2021 doi 10.1109/ISCA52012.2021.00069.

Runzhou Tao, Yunong Shi, Jianan Yao, John Hui, Frederic T. Chong, and Ronghui Gu. Gleipnir: toward practical error analysis for Quantum programs. Proceedings of the 42nd ACM SIGPLAN International Conference on Programming Language Design and Implementation. 48–64. 2021. doi: 10.1145/3453483.3454029

Singhal, Kartik; Marshall, Sarah; Hietala, Kesha; Rand, Robert. Toward a Type-Theoretic Interpretation of Q# and Statically Enforcing the No-Cloning Theorem. The Second International Workshop on Programming Languages for Quantum Computing (PLanQC '21). 2021

Huang, Yipeng; Holtzen, Steven; Millstein, Todd; Van den Broeck, Guy; Martonosi, Margaret. 2021. Logical abstractions for noisy variational Quantum algorithm simulation. In Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2021). Association for Computing Machinery, New York, NY, USA, 456–472. DOI:https://doi.org/10.1145/3445814.3446750

Robert Rand, Aarthi Sundaram, Kartik Singhal, Brad Lackey (2021). Gottesman Types for Quantum Programs. doi 10.4204/EPTCS.340.14

2020

Debroy, Dripto M.;Brown, Kenneth R., Extended flag gadgets for low-overhead circuit verification, Phys. Rev. A 102, 052409 doi: 10.1103/PhysRevA.102.052409

Gokhale, Pranav and Angiuli, Olivia and Ding, Yongshan and Gui, Kaiwen and Tomesh, Teague and Suchara, Martin and Martonosi, Margaret and Chong, Frederic T.; Optimization of Simultaneous Measurement for Variational Quantum Eigensolver Applications, 2020 IEEE International Conference on Quantum Computing and Engineering (QCE), 2020, pp. 379-390, doi: 10.1109/QCE49297.2020.00054. QCE Best Paper

Baker, Jonathan M; Duckering, Casey; Chong, Frederic T, Efficient Quantum Circuit Decompositions via Intermediate Qudits, Proceedings of the 50th International Symposium on Multiple-Valued Logic, 303-308, 2020 doi: 10.1109/ISMVL49045.2020.9345604

Debroy, Dripto M; Li, Muyuan; Huang, Shilin; Brown, Kenneth R.; Logical performance of 9 qubit compass codes in ion traps with crosstalk errors, Quantum Sci. Technol. 5 034002 (2020) doi: 10.1088/2058-9565/ab7e80

Annie Y. Wei, Preksha Naik, Aram W. Harrow, Jesse Thaler, Quantum Algorithms for Jet Clustering, Phys. Rev. D 101, 094015 – Published 14 May 2020 doi: 10.1103/PhysRevD.101.094015

Aram W. Harrow, Annie Y. Wei, Adaptive Quantum Simulated Annealing for Bayesian Inference and Estimating Partition Functions, Proceedings of the 2020 ACM-SIAM Symposium on Discrete Algorithms (SODA 2020) doi 10.1137/1.9781611975994.12

Alexander M. Dalzell, Aram W. Harrow, Dax Enshan Koh, Rolando L. La Placa, How many qubits are needed for quantum computational supremacy?, Quantum 4, 264 (2020) doi 10.22331/q-2020-05-11-264

Newman, Michael; Andreta de Castro, Leonardo; Brown, Kenneth R, Generating Fault-Tolerant Cluster States from Crystal Structures, Quantum 4, 295 (2020) doi 10.22331/q-2020-07-13-295

Tianyi Peng, Aram Harrow, Maris Ozols, Xiaodi Wu. Simulating Large Quantum Circuits on a Small Quantum Computer. Phys. Rev. Lett. 125, 150504 (2020) doi:10.1103/PhysRevLett.125.150504

Shilin Huang and Kenneth R. Brown, Fault-tolerant compass codes, Phys. Rev. A 101, 042312 – Published 9 April 2020 doi: 10.1103/PhysRevA.101.042312

Shilin Huang, Michael Newman, and Kenneth R. Brown, Fault-tolerant weighted union-find decoding on the toric code, Phys. Rev. A 102, 012419 – Published 16 July 2020 doi: 10.1103/PhysRevA.102.012419

Debroy, Dripto M; Li, Muyuan; Huang, Shilin; Brown, Kenneth R.; Logical performance of 9 qubit compass codes in ion traps with crosstalk errors, Quantum Sci. Technol. 5 034002 (2020) doi: 10.1088/2058-9565/ab7e80

Kartik Singhal, John Reppy (2021). Quantum Hoare Type Theory: Extended Abstract. QPL 2020. doi: 10.4204/EPTCS.340.15

Murali, Prakash; Debroy, Dripto; Brown, Kenneth; Martonosi, Margaret, Architecting Noisy Intermediate-Scale Trapped Ion Quantum Computers, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA), Valencia, Spain, 2020, pp. 529-542, doi: 10.1109/ISCA45697.2020.00051

Murali, Prakash; Linke,Norbert; Martonosi, Margaret; Javadi Abhari, Ali; Nguyen, Nhung; Alderete, Cinthia, "Architecting Noisy Intermediate-Scale Quantum Computers: A Real-System Study," in IEEE Micro, vol. 40, no. 3, pp. 73-80, 1 May-June 2020, doi: 10.1109/MM.2020.2985683.

Shi, Y., Gokhale P., Murali P., Baker J., Duckering C., Ding Y., Chamber-land C., Cross A., Schuster D., Brown K., Martonosi M., Franklin D., Chong F., Resource-efficient quantum computing by breaking abstractions, Proceedingof the IEEE, Volume: 108, Issue: 8, Aug. 2020 Page(s): 1353 - 1370, 2020 doi: 10.1109/JPROC.2020.2994765

Gokhale P.; Angiuli, O.; Ding, Y.; Gui, K.; Tomesh T.; Suchara M.; Martonosi M.; Chong F. O(N3) Measurement Cost for Variational Quantum Eigensolver on Molecular Hamiltonians; IEEE Transactions on Quantum Engineering, vol. 1, pp. 1-24, 2020. doi: 10.1109/TQE.2020.3035814

Diana Franklin, Jen Palmer, Woorin Jang, Elizabeth M. Lehman, Jasmine Marckwordt, Randall H. Landsberg, Alexandria Muller, and Danielle Harlow. 2020. "Exploring Quantum Reversibility with Young Learners". In Proceedings of the 2020 ACM Conference on International Computing Education Research (ICER '20). Association for Computing Machinery, New York, NY, USA, 147–157. doi: 10.1145/3372782.3406255

Duckering, Casey; Baker, Jonathan M.; Schuster, David I.; Chong, Frederic T., , "Virtualized Logical Qubits: A 2.5D Architecture for Error-Corrected Quantum Computing," 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Athens, Greece, 2020, pp. 173-185, doi: 10.1109/MICRO50266.2020.00026.

Ding, Yongshan, Pranav Gokhale, Sophia Fuhui Lin, Richard Rines, Thomas Propson, and Frederic T. Chong. "Systematic Crosstalk Mitigation for Superconducting Qubits via Frequency-Aware Compilation." In 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 201-214. IEEE, 2020. doi: 10.1109/MICRO50266.2020.00028

P. Gokhale, A. Javadi-Abhari, N. Earnest, Y. Shi and F. T. Chong, "Optimized Quantum Compilation for Near-Term Algorithms with OpenPulse," 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Athens, Greece, 2020, pp. 186-200, doi: 10.1109/MICRO50266.2020.00027

Baker, Jonathan M; Duckering, Casey; Hoover, Alexander; Chong, Frederic T, Time-sliced quantum circuit partitioning for modular architectures, CF '20: Proceedings of the 17th ACM International Conference on Computing Frontiers, May 2020, Pages 98–107 doi: 10.1145/3387902.3392617

Gokhale, Pranav; Jonathan M. Baker; Casey Duckering; Natalie C. Brown; Kenneth R. Brown; and Fred Chong. "Extending the Frontier of Quantum Computers with Qutrits." IEEE Micro (2020). doi: 10.1109/MM.2020.2985976

Eskandarpour, Rozhin; Pranav Gokhale; Amin Khodaei; Frederic Chong; Aleksi Paaso; and Shay Bahramirad. "Quantum Computing for Enhancing Grid Security." IEEE Transactions on Power Systems (2020). doi: 10.1109/TPWRS.2020.3004073

Holmes A., Jokar, M. R., Pasandi, G., Ding, Y., Pedram, M., and Chong, F.t., (2020). NISQ+: Boosting computational power of quantum computers by approximating quantum error correction. In 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA) doi: 10.1109/ISCA45697.2020.00053

Ding, Yongshan; Wu, Xin-Chuan; Holmes, Adam; Wiseth, Ash; Franklin, Diana; Martonosi, Margaret; and Chong, Frederic T. SQUARE: Strategic Quantum Ancilla Reuse for Modular Quantum Programs via Cost-Effective Uncomputation. In 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA), pp. 570-583. IEEE, 2020. doi: 10.1109/ISCA45697.2020.00054

Gokhale, Pranav; Angiuli, Olivia; Ding, Yongshan; Gui, Kaiwen; Tomesh, Teague; Suchara, Martin; Martonosi, Margaret; Chong, Frederic T. Minimizing state preparations in variational quantum eigensolver by partitioning into commuting families. arXiv preprint arXiv:1907.13623 (2019).


Harrow, Aram W.; Wei, AY, Adaptive Quantum Simulated Annealing for Bayesian Inference and Estimating Partition Functions Proceedings of the Fourteenth Annual ACM-SIAM Symposium on Discrete Algorithms . doi: 10.1137/1.9781611975994.12

Murali, Prakash and Mckay, David C. and Martonosi, Margaret and Javadi-Abhari, Ali, Software Mitigation of Crosstalk on Noisy Intermediate-Scale Quantum Computers, Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems,1001–1016, 2020 doi: 10.1145/3373376.3378477

2019

Matthew Coudron and Aram W. Harrow. 2019. Universality of EPR pairs in entanglement-assisted communication complexity, and the communication cost of state conversion. In Proceedings of the 34th Computational Complexity Conference (CCC '19). Schloss Dagstuhl--Leibniz-Zentrum fuer Informatik, Dagstuhl, DEU, Article 20, 1–25. doi 10.4230/LIPIcs.CCC.2019.20

Mohamed Abdelhafez, David I. Schuster, Jens Koch, Gradient-based optimal control of open quantum systems using quantum trajectories and automatic differentiation. Phys. Rev. A 99, 052327 (2019) doi: 10.1103/PhysRevA.99.052327

Smart, S.E., Schuster, D.I. & Mazziotti, D.A. , Experimental data from a quantum computer verifies the generalized Pauli exclusion principle. Commun Phys 2, 11 (2019). doi: 10.1038/s42005-019-0110-3

Cappello, Franck & Di, Sheng & Li, Sihuan & Liang, Xin & Gok, Ali Murat & Tao, Dingwen & Yoon, Chun & Wu, Xin-Chuan & Alexeev, Yuri & Chong, Frederic. (2019). Use cases of lossy compression for floating-point data in scientific data sets. The International Journal of High Performance Computing Applications. 109434201985333. doi: 10.1177/1094342019853336.

Brown, Natalie C.; Brown, Kenneth R., Leakage mitigation for quantum error correction using a mixed qubit scheme, Phys. Rev. A 100, 032325 (2019) doi: 10.1103/PhysRevA.100.032325

Brown, Natalie C.; Newman, Michael; Brown, Kenneth R., Handling leakage with subsystem codes, New J. Phys. 21 073055 (2019) doi: 10.1088/1367-2630/ab3372

Li, Muyuan and Miller, Daniel and Newman, Michael and Wu, Yukai and Brown, Kenneth R., 2D Compass Codes, Phys. Rev. X 9, 021041 – Published 29 May 2019 doi: 10.1103/PhysRevX.9.021041

Pranav Gokhale, Yongshan Ding, Thomas Propson, Christopher Winkler, Nelson Leung, Yunong Shi, David I. Schuster, Henry Hoffmann, and Frederic T. Chong. 2019. Partial Compilation of Variational Algorithms for Noisy Intermediate-Scale Quantum Machines. In Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO ’52). Association for Computing Machinery, New York, NY, USA, 266–278. doi: 10.1145/3352460.3358313

Adam Bene Watts, Robin Kothari, Luke Schaeffer, and Avishay Tal. 2019. Exponential separation between shallow quantum circuits and unbounded fan-in shallow classical circuits. In Proceedings of the 51st Annual ACM SIGACT Symposium on Theory of Computing (STOC 2019). ACM, New York, NY, USA, 515-526. doi: 10.1145/3313276.3316404

Wu, Xin-Chuan; Di, Sheng; Dasgupta, Emma Maitreyee; Cappello, Franck; Finkel, Hal; Alexeev, Yuri; Chong, Frederic T., Full-State Quantum Circuit Simulation by Using Data Compression, In The International Conference for High Performance Computing, Networking, Storage, and Analysis (SC'19), November 17–22, 2019, Denver, CO, USA. doi: 10.1145/3295500.3356155

Yunong Shi, Christopher Chamberland, Andrew W. Cross, Fault-tolerant preparation of approximate {GKP} states, 2019 New J. Phys. 21 093007 doi: 10.1088/1367-2630/ab3a62

Holmes, A., Ding, Y., Javadi-Abhari, A., Franklin, D., Martonosi, M., & Chong, F. T. (2019). Resource optimized quantum architectures for surface code implementations of magic-state distillation. Microprocessors and Microsystems, 67, 56-70. doi: 10.1016/j.micpro.2019.02.007

Prakash Murali, Norbert Matthias Linke, Margaret Martonosi, Ali Javadi-Abhari, Nhung Hong Nguyen, Cinthia Huerta Alderete. Full-Stack, Real-System Quantum Computer Studies: Architectural Comparisons and Design Insights, 46th Annual International Symposium on Computer Architecture (ISCA), Phoenix, AZ, 2019. doi: 10.1145/3307650.3322273

Murali, Prakash; Javadi-Abhari, Ali; Chong, Frederic T.; Martonosi Margaret,“Formal Constraint-based Compilation for Noisy Intermediate-Scale Quantum Systems” Microprocessors and Microsystems Volume 66, Pages 102-112, 2019. doi: 10.1016/j.micpro.2019.02.005


Huang, Yipeng; Martonosi, Margaret. Statistical assertions for validating patterns and finding bugs in quantum programs. In Proceedings of the 46th International Symposium on Computer Architecture (ISCA ’19). 2019. doi: 10.1145/3307650.3322213

Pranav Gokhale, Jonathan Baker, Casey Duckering, Natalie Brown, Ken Brown, and Frederic T. Chong. Asymptotic Improvements to Quantum Circuits via Qutrits, 46th Annual International Symposium on Computer Architecture (ISCA), Phoenix, AZ, 2019. doi: 10.1145/3307650.3322253

Murali,Prakash; Baker,Jonathan M.; Javadi Abhari, Ali; Chong, Frederic T.; Martonosi, Margaret. Noise-Adaptive Compiler Mappings for Noisy Intermediate-Scale Quantum Computers, 24th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS’19) doi: 10.1145/3297858.3304075

Shi,Yunong; Leung, Nelson; Gokhale, Pranav; Rossi, Zane; Schuster, David I.; Hoffman, Henry; Chong, Frederic T. Optimized Compilation of Aggregated Instructions for Realistic Quantum Computers, 24th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '19) doi: 10.1145/3297858.3304018

Daniel C. Murphy, Kenneth R. Brown. Controlling error orientation to improve quantum algorithm success rates, , Physical Review A, Vol. 99, 032318, 2019 doi: PhysRevA.99.032318

2018

Suchara, M.; Alexeev, Y.;Chong, F.; Finkel, H.; Hoffmann, H; Larson, J.; Osborn, J.; and Smith,G., Hybrid Quantum-Classical Computing Architectures, The 3rd International Workshop on Post-Moore Era Supercomputing The 3rd International Workshop on Post-Moore Era Supercomputing (PMES) in conjunction with IEEE/ACM 29th The International Conference for High Performance computing, Networking, Storage and Analysis (SC2018).

Huang, Yipeng; Martonosi, Margaret. QDB: From Quantum Algorithms Towards Correct Quantum Programs. PLATEAU Workshop at ACM conference on Systems, Programming, Languages and Applications: Software for Humanity (SPLASH). 2018.

Bapat, Aniruddha; Eldredge, Zachary; Garrison, James R.; Desphande, Abhinav; Chong, Frederic T.; Gorshkov, Alexey V., Unitary entanglement construction in hierarchical networks, Phys. Rev. A 98, 062328 (2018). DOI 10.1103/PhysRevA.98.062328

Debroy, D. M; Li, M; Newman, M; and Brown, K. R.; Stabilizer slicing: Coherent error cancellations in low-density parity-check stabilizer codes. Phys. Rev. Lett., 121:250502, Dec 2018. DOI 10.1103/PhysRevLett.121.250502

Wu, Xin-Chuan; Di, Sheng; Cappello, Franck; Finkel, Hal; Alexeev, Yuri; Chong, Frederic T., Full State Quantum Circuit Simulation by Using Lossy Data Compression, in IEEE/ACM 29th The International Conference for High Performance computing, Networking, Storage and Analysis (SC2018).

Wu, Xin-Chuan; Di, Sheng; Cappello, Franck; Finkel, Hal; Alexeev, Yuri; Chong, Frederic T., Amplitude-Aware Lossy Compression for Quantum Circuit Simulation, in Proceedings of the 4th International Workshop on Data Reduction for Big Scientific Data (DRBSD-4), in conjunction with IEEE/ACM 29th The International Conference for High Performance computing, Networking, Storage and Analysis (SC2018).

Wu, Xin-Chuan; Di, Sheng; Cappello, Franck; Finkel, Hal; Alexeev, Yuri; Chong, Frederic T., Memory-Efficient Quantum Circuit Simulation by Using Lossy Data Compression, The 3rd International Workshop on Post-Moore Era Supercomputing (PMES) in conjunction with IEEE/ACM 29th The International Conference for High Performance computing, Networking, Storage and Analysis (SC2018).

Ding, Yongshan; Holmes, Adam; Javadi-Abhari, Ali; Franklin, Diana; Martonosi, Margaret; Chong, Frederic T, Magic-State Functional Units: Mapping and Scheduling Multi-Level Distillation Circuits for Fault-Tolerant Quantum Architectures, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA), Los Angeles, CA, 2018, pp. 152-165. DOI 10.1109/MICRO.2018.0007

Leung, P. H.; Brown, K. R., Entangling an arbitrary pair of qubits in a long ion crystal, Phys. Rev. A. 98, 032318 (2018). DOI 10.1103/PhysRevA.98.032318

Cui, W.; Ding, Y.; Danggwal, D; Holmes, A; McMahan, J; Javadi-Abhari, A; Tzimpragos, G; Chong, F; Sherwood, T, Charm: A Language for Closed-Form High-Level Architecture Modeling, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA), Los Angeles, CA, 2018, pp. 152-165. DOI 10.1109/ISCA.2018.00023